Research Article
BibTex RIS Cite
Year 2022, Volume: 5 Issue: 2, 208 - 215, 31.08.2022
https://doi.org/10.35377/saucis...1133435

Abstract

References

  • [1] Thorndike, E. L. (1924). Mental discipline in high school studies. Journal of Educational Psychology, 15, 83–98
  • [2] Thorndike, E. L., & Woodworth, R. S. (1901). The influence of improvement in one mental function upon the efficiency of other functions. Psychological Review, 8, 247–261
  • [3] Gentner, D. (1983). Structure-mapping: A theoretical framework for analogy. Cognitive Science, 7, 155–170.
  • [4] Hummel, J. E., & Holyoak, K. J. (1997). Distributed representations of structure: A theory of analogical access and mapping. Psychological Review, 104, 427–466.
  • [5] Hummel, J. E., & Holyoak, K. J. (2003). A symbolic-connectionist theory of relational inference and generalization. Psychological Review, 110, 220–264
  • [6] Harrison, Allan & Treagust, David. (2006). Teaching and Learning with Analogies. 10.1007/1-4020-3830-5_2.
  • [7] Metaphor in crisis: The language of suffering. Pain and Suffering Interdisciplinary Research Network. Teucher, U. University of British Columbia. 2004.
  • [8] Pitterson, Nicole & Perova-Mello, N. & Streveler, Ruth. (2018). Engineering students’ use of analogies and metaphors: Implications for educators. International Journal of Engineering Education. 35. 2-14.
  • [9] Kanthan, R. & Sheryl Mills. “Using Metaphors, Analogies and Similes as Aids in Teaching Pathology to Medical Students.” (2006).
  • [10] Nakutis, Ž., & Saunoris, M. (2010). Challenges of Embedded Systems Teaching in Electronic Engineering Studies. Elektronika Ir Elektrotechnika, 102(6), 83-86. Retrieved from https://eejournal.ktu.lt/index.php/elt/article/view/9360
  • [11] R. J. Duckworth, "Embedded system design with FPGA using HDL (lessons learned and pitfalls to be avoided)," 2005 IEEE International Conference on Microelectronic Systems Education (MSE'05), Anaheim, CA, USA, 2005, pp. 35-36, doi: 10.1109/MSE.2005.28.
  • [12] Cifredo‐Chacón, M.D.L.Á., Quirós‐Olozábal, Á. & Guerrero‐Rodríguez, J.M. (2015), Computer architecture and FPGAs: A learning‐by‐doing methodology for digital‐native students. Comput Appl Eng Educ, 23: 464-470. https://doi.org/10.1002/cae.21617
  • [13] C. M. Kellett, "A Project-Based Learning Approach to Programmable Logic Design and Computer Architecture," in IEEE Transactions on Education, vol. 55, no. 3, pp. 378-383, Aug. 2012, doi: 10.1109/TE.2011.2179301.
  • [14] Shinde, S. A., & Kamat, R. K. (2011). FPGA based Improved Hardware Implementation of Booth Wallace Multiplier using Handel C. Elektronika Ir Elektrotechnika, 109(3), 71-74. https://doi.org/10.5755/j01.eee.109.3.174
  • [15] F. Vahid & R. Lysecky, VHDL for Digital Design, John Wiley & Sons, 2007.
  • [16] J. A. Nestor, "HDL coding guidelines for student projects," 2011 IEEE International Conference on Microelectronic Systems Education, San Diego, CA, 2011, pp. 86-89, doi: 10.1109/MSE.2011.5937100.
  • [17] Meral, M., Akuner, C., & Temiz, I. (2012). Competencies of Teachers’ use of Technology in Learning and Teaching Processes. Elektronika Ir Elektrotechnika, 18(10), 93-97. https://doi.org/10.5755/j01.eee.18.10.3072
  • [18] Jamieson, P.. “verilogTown - Improving Students Learning Hardware Description Language Design - Verilog - with a Video Game.” (2017).
  • [19] C. Ebeling & B. French, "Abstract Verilog: A Hardware Description Language for Novice Students," 2007 IEEE International Conference on Microelectronic Systems Education (MSE'07), San Diego, CA, USA, 2007, pp. 105-106, doi: 10.1109/MSE.2007.16.
  • [20] Vemuru, S. et al. “A spiral learning approach to hardware description languages.” 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013) (2013): 2759-2762.
  • [21] A. Kumar, R. C. Panicker & A. Kassim, "Enhancing VHDL learning through a light-weight integrated environment for development and automated checking," Proceedings of 2013 IEEE International Conference on Teaching, Assessment and Learning for Engineering (TALE), Bali, Indonesia, 2013, pp. 570-575, doi: 10.1109/TALE.2013.6654502.
  • [22] Vanderlei Bonato, Marcio M. Fernandes, Joao M. P. Cardoso & Eduardo Marques, "Practical Education Fostered by Research Projects in an Embedded Systems Course", International Journal of Reconfigurable Computing, vol. 2014, Article ID 287205, 12 pages, 2014. https://doi.org/10.1155/2014/287205
  • [23] Edwards, Stephen. (2005). Experiences teaching an FPGA-based embedded systems class. ACM Sigbed Review. 2. 10.1145/1121812.1121823.
  • [24] Wang, G. (2007, June), Lessons And Experiences Of Teaching Vhdl Paper presented at 2007 Annual Conference & Exposition, Honolulu, Hawaii. 10.18260/1-2—1511
  • [25] Wang, G. (2011), Bridging the gap between textbook and real applications: A teaching methodology in digital electronics education. Comput. Appl. Eng. Educ., 19: 268-279. https://doi.org/10.1002/cae.20308
  • [26] W. Balid & M. Abdulwahed, "A novel FPGA educational paradigm using the next generation programming languages case of an embedded FPGA system course," 2013 IEEE Global Engineering Education Conference (EDUCON), Berlin, Germany, 2013, pp. 23-31, doi: 10.1109/EduCon.2013.6530082.
  • [27] A. Kumar, R. C. Panicker & A. Kassim, "Enhancing VHDL learning through a light-weight integrated environment for development and automated checking," Proceedings of 2013 IEEE International Conference on Teaching, Assessment and Learning for Engineering (TALE), Bali, Indonesia, 2013, pp. 570-575, doi: 10.1109/TALE.2013.6654502.
  • [28] A. Kumar, S. Fernando & R. C. Panicker, "Project-Based Learning in Embedded Systems Education Using an FPGA Platform," in IEEE Transactions on Education, vol. 56, no. 4, pp. 407-415, Nov. 2013, doi: 10.1109/TE.2013.2246568.

Transfer of Analogies in Traditional Programming Languages to Teaching VHDL

Year 2022, Volume: 5 Issue: 2, 208 - 215, 31.08.2022
https://doi.org/10.35377/saucis...1133435

Abstract

One of the languages available to describe a digital system in FPGA is the VHDL language. Since programming in hardware requires a different way of thinking than developing software, the students face some difficulties when trying to design in VHDL language with the previous and long experiences kept in mind in the learning of software imperative programming. These are its concurrency, parallel and sequential model. Due to the insufficient understanding of these topics, it is difficult for students to master the VHDL language. Analogies change the conceptual system of existing knowledge by linking the known to the unknown and by changing and strengthening their relationships. This study contributes to overcoming the problems that students encounter in the coding of the above-mentioned topics in VHDL language by using their experiences in traditional programming languages through analogies. Analogies were used in an undergraduate embedded systems course to explain complex concepts such as those related to signals, concurrent/parallel process; and to encourage comprehensive projects in digital circuit design. In feedback from students, the discussion and negotiation of analogies seems to minimize confusion and from using inappropriate expressions in using VHDL language.

References

  • [1] Thorndike, E. L. (1924). Mental discipline in high school studies. Journal of Educational Psychology, 15, 83–98
  • [2] Thorndike, E. L., & Woodworth, R. S. (1901). The influence of improvement in one mental function upon the efficiency of other functions. Psychological Review, 8, 247–261
  • [3] Gentner, D. (1983). Structure-mapping: A theoretical framework for analogy. Cognitive Science, 7, 155–170.
  • [4] Hummel, J. E., & Holyoak, K. J. (1997). Distributed representations of structure: A theory of analogical access and mapping. Psychological Review, 104, 427–466.
  • [5] Hummel, J. E., & Holyoak, K. J. (2003). A symbolic-connectionist theory of relational inference and generalization. Psychological Review, 110, 220–264
  • [6] Harrison, Allan & Treagust, David. (2006). Teaching and Learning with Analogies. 10.1007/1-4020-3830-5_2.
  • [7] Metaphor in crisis: The language of suffering. Pain and Suffering Interdisciplinary Research Network. Teucher, U. University of British Columbia. 2004.
  • [8] Pitterson, Nicole & Perova-Mello, N. & Streveler, Ruth. (2018). Engineering students’ use of analogies and metaphors: Implications for educators. International Journal of Engineering Education. 35. 2-14.
  • [9] Kanthan, R. & Sheryl Mills. “Using Metaphors, Analogies and Similes as Aids in Teaching Pathology to Medical Students.” (2006).
  • [10] Nakutis, Ž., & Saunoris, M. (2010). Challenges of Embedded Systems Teaching in Electronic Engineering Studies. Elektronika Ir Elektrotechnika, 102(6), 83-86. Retrieved from https://eejournal.ktu.lt/index.php/elt/article/view/9360
  • [11] R. J. Duckworth, "Embedded system design with FPGA using HDL (lessons learned and pitfalls to be avoided)," 2005 IEEE International Conference on Microelectronic Systems Education (MSE'05), Anaheim, CA, USA, 2005, pp. 35-36, doi: 10.1109/MSE.2005.28.
  • [12] Cifredo‐Chacón, M.D.L.Á., Quirós‐Olozábal, Á. & Guerrero‐Rodríguez, J.M. (2015), Computer architecture and FPGAs: A learning‐by‐doing methodology for digital‐native students. Comput Appl Eng Educ, 23: 464-470. https://doi.org/10.1002/cae.21617
  • [13] C. M. Kellett, "A Project-Based Learning Approach to Programmable Logic Design and Computer Architecture," in IEEE Transactions on Education, vol. 55, no. 3, pp. 378-383, Aug. 2012, doi: 10.1109/TE.2011.2179301.
  • [14] Shinde, S. A., & Kamat, R. K. (2011). FPGA based Improved Hardware Implementation of Booth Wallace Multiplier using Handel C. Elektronika Ir Elektrotechnika, 109(3), 71-74. https://doi.org/10.5755/j01.eee.109.3.174
  • [15] F. Vahid & R. Lysecky, VHDL for Digital Design, John Wiley & Sons, 2007.
  • [16] J. A. Nestor, "HDL coding guidelines for student projects," 2011 IEEE International Conference on Microelectronic Systems Education, San Diego, CA, 2011, pp. 86-89, doi: 10.1109/MSE.2011.5937100.
  • [17] Meral, M., Akuner, C., & Temiz, I. (2012). Competencies of Teachers’ use of Technology in Learning and Teaching Processes. Elektronika Ir Elektrotechnika, 18(10), 93-97. https://doi.org/10.5755/j01.eee.18.10.3072
  • [18] Jamieson, P.. “verilogTown - Improving Students Learning Hardware Description Language Design - Verilog - with a Video Game.” (2017).
  • [19] C. Ebeling & B. French, "Abstract Verilog: A Hardware Description Language for Novice Students," 2007 IEEE International Conference on Microelectronic Systems Education (MSE'07), San Diego, CA, USA, 2007, pp. 105-106, doi: 10.1109/MSE.2007.16.
  • [20] Vemuru, S. et al. “A spiral learning approach to hardware description languages.” 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013) (2013): 2759-2762.
  • [21] A. Kumar, R. C. Panicker & A. Kassim, "Enhancing VHDL learning through a light-weight integrated environment for development and automated checking," Proceedings of 2013 IEEE International Conference on Teaching, Assessment and Learning for Engineering (TALE), Bali, Indonesia, 2013, pp. 570-575, doi: 10.1109/TALE.2013.6654502.
  • [22] Vanderlei Bonato, Marcio M. Fernandes, Joao M. P. Cardoso & Eduardo Marques, "Practical Education Fostered by Research Projects in an Embedded Systems Course", International Journal of Reconfigurable Computing, vol. 2014, Article ID 287205, 12 pages, 2014. https://doi.org/10.1155/2014/287205
  • [23] Edwards, Stephen. (2005). Experiences teaching an FPGA-based embedded systems class. ACM Sigbed Review. 2. 10.1145/1121812.1121823.
  • [24] Wang, G. (2007, June), Lessons And Experiences Of Teaching Vhdl Paper presented at 2007 Annual Conference & Exposition, Honolulu, Hawaii. 10.18260/1-2—1511
  • [25] Wang, G. (2011), Bridging the gap between textbook and real applications: A teaching methodology in digital electronics education. Comput. Appl. Eng. Educ., 19: 268-279. https://doi.org/10.1002/cae.20308
  • [26] W. Balid & M. Abdulwahed, "A novel FPGA educational paradigm using the next generation programming languages case of an embedded FPGA system course," 2013 IEEE Global Engineering Education Conference (EDUCON), Berlin, Germany, 2013, pp. 23-31, doi: 10.1109/EduCon.2013.6530082.
  • [27] A. Kumar, R. C. Panicker & A. Kassim, "Enhancing VHDL learning through a light-weight integrated environment for development and automated checking," Proceedings of 2013 IEEE International Conference on Teaching, Assessment and Learning for Engineering (TALE), Bali, Indonesia, 2013, pp. 570-575, doi: 10.1109/TALE.2013.6654502.
  • [28] A. Kumar, S. Fernando & R. C. Panicker, "Project-Based Learning in Embedded Systems Education Using an FPGA Platform," in IEEE Transactions on Education, vol. 56, no. 4, pp. 407-415, Nov. 2013, doi: 10.1109/TE.2013.2246568.
There are 28 citations in total.

Details

Primary Language English
Subjects Computer Software
Journal Section Articles
Authors

Halit Öztekin 0000-0001-8598-4763

Ali Gülbağ 0000-0002-5867-0811

Publication Date August 31, 2022
Submission Date June 20, 2022
Acceptance Date July 8, 2022
Published in Issue Year 2022Volume: 5 Issue: 2

Cite

IEEE H. Öztekin and A. Gülbağ, “Transfer of Analogies in Traditional Programming Languages to Teaching VHDL”, SAUCIS, vol. 5, no. 2, pp. 208–215, 2022, doi: 10.35377/saucis...1133435.

Sakarya University Journal of Computer and Information Sciences in Applied Sciences and Engineering: An interdisciplinary journal of information science      28938